专利摘要:
誘電率が約3.0以下の誘電材料(52)を含む相互接続構造を提供する。 この低k誘電材料は、上面が埋め込まれた少なくとも1つの導電材料(60)を有する。また、誘電材料は、貴金属キャップ(62)の形成前に疎水性とされた表面層(52B)を有する。貴金属キャップは、少なくとも1つの導電材料の上面上に直接に配置されている。誘電材料上に疎水性表面層が存在するために、貴金属キャップは、少なくとも1つの導電材料に隣接した誘電材料の疎水性表面層上に実質的に延出せず、この疎水性の誘電表面上に貴金属キャップ堆積からの貴金属残留物は存在しない。
公开号:JP2011511439A
申请号:JP2010544416
申请日:2009-01-22
公开日:2011-04-07
发明作者:エーデルシュタイン、ダニエル、シー;マクフィーリ、フェントン、アール;ヤン、チー−チャオ
申请人:インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Maschines Corporation;
IPC主号:H01L21-3205
专利说明:

[0001] 本発明は、半導体相互接続構造およびこれを製造する方法に関する。更に具体的には、本発明は、低誘電率kの誘電材料内に埋め込まれた導電材料の表面に対する貴金属キャップの選択性を高めた、エレクトロマイグレーション(EM)の信頼性を向上させた半導体相互接続構造に関する。]
背景技術

[0002] 一般に、半導体デバイスは複数の回路を含み、これらの回路が、半導体基板上に製造された集積回路(IC)を形成する。基板の表面上に分散した回路要素を接続するために、通常、複雑な信号経路ネットワークがルーティングされる。デバイス全体にわたってこれらの信号を効率的にルーティングするには、例えばシングル・ダマシン(single damascene)またはデュアル・ダマシン(dual damascene)配線構造等の多レベルまたは多層の配列を形成する必要がある。この配線構造は、典型的に銅すなわちCuを含む。なぜなら、Cuベースの相互接続では、アルミニウムすなわちAlベースの相互接続に比べ、複雑な半導体チップ上の多数のトランジスタ間における信号伝送が高速化するからである。]
[0003] 典型的な相互接続構造内では、金属バイアが半導体基板に対して垂直に延在し、金属ラインが半導体基板に対して水平に延在する。今日のIC製品チップでは、金属ラインおよび金属バイア(例えば導電フィーチャ)を、誘電率が4.0未満の誘電材料に埋め込むことによって、いっそうの信号速度の向上および隣接金属ラインにおける信号(「クロストーク」として知られる)の低減が達成されている。]
発明が解決しようとする課題

[0004] 半導体相互接続構造において、1つの金属障害機構として、エレクトロマイグレーション(EM:electromigration)が認められている。EMは、1960年代から、超大規模集積(VLSI)回路および製造において最も重大な信頼性の問題の1つである。この問題は、プロセスを認定するためのプロセス開発期間中に克服する必要があるだけでなく、チップの寿命全体を通して持続する。高密度の電流によって生じる金属イオン移動のために、相互接続構造の金属導体の内部でボイドが生成される。]
[0005] 金属相互接続における高速拡散経路は、チップ製造のために用いられる全体的な集積方式および方法によって変動するが、金属/ポスト平坦化誘電キャップ界面に沿って移動するCu原子等の金属原子が、EMの寿命予測に重要な役割を果たすことがわかっている。EM初期ボイドは、まず金属/誘電キャップ界面に核生成し、次いで相互接続の底部へ向かう方向に成長し、最終的に回路デッド開口(circuit dead opening)を生じる。]
[0006] 図1から図4は、EM障害の様々な段階における従来技術の相互接続構造の図である。これらの図面において、参照番号12は誘電キャップを示し、参照番号10は金属相互接続フィーチャを示す。EM問題をあいまいにすることを回避するために、従来技術の相互接続構造の他の全てのコンポーネントは標示していない。図1は、最初の応力段階における図である。図2は、金属相互接続フィーチャ10/誘電キャップ12の界面においてボイド14の核生成が開始した時を示す。図3は、ボイド14が導電フィーチャ10の底部へと成長した時を示す。図4は、ボイド14が拡大して金属相互接続フィーチャ10を横切り、回路デッド開口を生じた時を示す。] 図1 図2 図3 図4
[0007] Cu/誘電界面をCu/金属界面で置換することによって、エレクトロマイグレーション抵抗を100倍よりも大きく上昇させることが可能であることが証明されている。従来技術の金属キャップは、通常、例えば相互接続構造のCu導体領域の上に選択的に堆積するCoWP等、Coを含有する合金から成る。かかる選択的堆積金属キャップを利用することに伴う1つの問題は、金属キャップの一部が相互接続誘電材料の隣接表面上まで延出し、そのため、隣接する相互接続間で電気的短絡が生じる恐れがあることである。これは、例えば図5に見られる。図5において、参照番号20は誘電材料を示し、参照番号22は誘電材料20内に埋め込まれた導電材料を示し、参照番号24はCoを含有する金属合金キャップを示し、参照番号25はCoを含有する合金キャップ・プロセスからの金属残留物を示す。] 図5
[0008] 上述のことに加えて、例えばCu等の相互接続導電材料を相互接続誘電材料の表面よりも下にくぼませることによって、相互接続導電材料の表面上に直接に金属キャップを設けることが知られている。かかる構造を、例えば図6に示す。この図において、参照番号20は相互接続誘電材料を示し、参照番号22は誘電材料20内に埋め込まれた相互接続導電材料を示し、参照番号23は誘電キャップを示し、参照番号24は金属キャップを示す。この従来技術のくぼみプロセスでは、くぼんだ導電材料の表面上にのみ配置された金属キャップが設けられるが、かかるプロセスは高いプロセス・コストが伴うので、問題となる。] 図6
[0009] また、一般に相互接続誘電材料の表面を洗浄するために用いられる希釈フッ化水素酸での洗浄の間に、金属キャップの腐食が起こり得ることに言及するのは重要である。これは、特に金属キャップ材料としてCoWPを用いる場合に観察される。]
[0010] 上述のことに鑑み、EM障害によって生じる回路デッド開口、および、従来技術の選択的に堆積したCo含有金属キャップを用いる場合に典型的に見られる隣接相互接続構造間の電気的短絡を回避する相互接続構造を設けることが要望されている。]
課題を解決するための手段

[0011] 本発明は、EM信頼性を向上させた回路相互接続構造を提供する。また、本発明は、隣接する相互接続構造間の電気的短絡が回避される相互接続構造を提供する。また、本発明は、信頼性が向上すると共に半導体業界に対する技術的な拡張性が向上した相互接続構造を提供する。]
[0012] 特に、本発明が提供する相互接続構造においては、低k誘電材料内に埋め込まれた導電材料のくぼんでいない表面上に、貴金属含有キャップ層が直接存在する。「低k」という言葉は、本出願全体を通じて、誘電率が約3.0以下の相互接続誘電材料を示すために用いられる。出願人らは、金属キャップ形成前に低k誘電材料の露出表面上に疎水性表面層を形成することによって、導電材料のくぼんでいない表面上での金属キャップの直接の選択的形成を制御するための手段を提供する。すなわち、導電材料のくぼんでいない表面上での金属キャップの形成率が、低k誘電材料の疎水性表面層上でのものよりも高いために、導電材料のくぼんでいない表面上での金属キャップの直接の選択的形成が増強される。]
[0013] 一般的な言い方において、本発明の相互接続構造は、
誘電率が約3.0以下の誘電材料であって、疎水性表面層と、前記誘電材料内に埋め込まれた上面を有する少なくとも1つの導電材料と、を有する、誘電材料と、
前記少なくとも1つの導電材料の前記上面上に直接に配置された貴金属キャップであって、前記少なくとも1つの導電材料に隣接した前記誘電材料の前記疎水性表面層上に実質的に延出せず、前記誘電材料の疎水性表面層上に貴金属残留物が存在しない、貴金属キャップと、
を含む。]
[0014] 本発明において、「実質的に延出しない」という言葉は、誘電材料上に疎水性表面層が存在するために、低k誘電材料上には有効な(net)貴金属が全く堆積されていないかまたは最小限にしか堆積されていないことを示すために用いられる。誘電材料の疎水性表面層上への貴金属キャップの最小限の延出は、5Å未満である。また、誘電材料の疎水性表面層上に、貴金属キャップ堆積からの「残留物」は存在しない。「残留物」とは、誘電材料表面上に貴金属材料の断片が形成しないことを意味する。]
[0015] 本発明の相互接続構造に存在する誘電材料は、誘電率が約3.0以下のいずれかの相互接続誘電材料とすれば良い。例示的に、本発明において用いられる誘電材料は、シルセスキオキサン、少なくともSi、C、O、およびHの原子を含むCをドーピングした酸化物(すなわちオルガノシリケート)、熱硬化性ポリアリレン・エーテル、またはそれらの多層を含む。誘電材料は、多孔性、非多孔性とすることができ、または、多孔性である領域または表面あるいはその両方と、非多孔性である他の領域または表面あるいはその両方と、を含む場合がある。]
[0016] 本発明においては、誘電材料を水素含有プラズマで処理することによって、更に具体的には水素含有雰囲気において熱処理することによって、誘電材料上に存在する疎水性表面層を形成する。疎水性表面層は、誘電材料の上面から誘電材料の内部まで測定した場合に約2nm以下の深さを有する。典型的には、疎水性表面層は、誘電材料の内部まで約1から約0.2nmまでの深さを有する。]
[0017] 相互接続構造内に埋め込み導電領域を形成する導電材料は、電気を伝導する能力を有するいずれかの材料を含む。導電領域に存在することができる導電材料の例は、例えば、ポリシリコン、導電金属、導電金属合金、導電金属シリサイド、またはそれらの組み合わせおよび多層を含む。本発明の一実施形態では、導電材料は、例えばCu、W、またはAl、あるいはそれら全て等の導電金属を含む。本発明の極めて好適な実施形態では、導電材料は、例えばCuまたはCu合金(AlCu等)のようなCu含有導電材料を含む。]
[0018] 導電材料は、典型的に、拡散バリアによって誘電材料から分離されている。拡散バリアは、導電材料が誘電材料内に拡散することを防ぐ。導電領域内に存在することができる拡散バリアの例は、例えば、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、IrTa、IrTaN、W、WN、またはそれらの組み合わせおよび多層を含む。]
[0019] 導電材料は、バイア開口、ライン開口、バイアおよびラインの組み合わせ開口、またはそれらのいずれかの組み合わせ内に存在することができる。]
[0020] 少なくとも1つの導電材料の上に配置されたキャップを参照する場合の「貴金属」という言葉は、腐食または酸化に強いいずれかの金属を含む。本発明において使用可能である好適な貴金属は、Ru、Ir、Rh、Pt、およびそれらの合金から成る群から選択される。更に具体的には、貴金属キャップとして用いられる貴金属は、RuまたはRu合金を含む。]
[0021] 本発明において、少なくとも1つの導電材料の上面は、相互接続誘電材料の疎水性表面そうと実質的に同一表面である。すなわち、本発明は、導電材料がくぼんでいない構造を提供する。]
[0022] 上述の相互接続構造に加えて、本発明は、これを製造する方法も提供する。本発明の方法に関して、出願人らは、貴金属キャップ形成前に、埋め込まれた導電材料を含む誘電材料を処理して疎水性表面層を形成すると、誘電材料でなく導電材料上への貴金属堆積の選択性向上が得られると判定した。誘電材料を水素含有プラズマで処理することによって、更に具体的には水素含有雰囲気において熱処理することによって、誘電材料上に疎水性表面層を形成することができる。]
[0023] 一般的な言い方において、本発明の方法は、
誘電率が約3.0以下の誘電材料であって、前記誘電材料内に埋め込まれた上面を有する少なくとも1つの導電材料を有する誘電材料を用意するステップと、
誘電材料の露出表面上に疎水性表面層を形成するステップと、
前記少なくとも1つの導電材料の前記上面上に直接に貴金属キャップを形成するステップであって、前記貴金属キャップが前記少なくとも1つの導電材料に隣接した前記誘電材料の前記疎水性表面層上に実質的に延出せず、前記貴金属キャップ形成の結果として、前記誘電材料の疎水性表面層上に貴金属残留物が生じない、ステップと、
を含む。]
図面の簡単な説明

[0024] EM障害によって生じる従来技術の相互接続構造における回路デッド開口の形成を示す図(横断面図)である。
EM障害によって生じる従来技術の相互接続構造における回路デッド開口の形成を示す図(横断面図)である。
EM障害によって生じる従来技術の相互接続構造における回路デッド開口の形成を示す図(横断面図)である。
EM障害によって生じる従来技術の相互接続構造における回路デッド開口の形成を示す図(横断面図)である。
誘電材料内に埋め込まれた導電材料の上に配置されたCo含有合金金属キャップを含む従来技術の相互接続構造であり、Co含有合金キャップ・プロセスからの金属残留物が誘電体表面上に存在する。
誘電材料内に埋め込まれたくぼんだ導電材料を含む従来技術の相互接続構造の図である。
本発明の様々な処理ステップを通して相互接続構造を示す。
本発明の様々な処理ステップを通して相互接続構造を示す。
本発明の様々な処理ステップを通して相互接続構造を示す。
本発明の様々な処理ステップを通して相互接続構造を示す。
本発明の様々な処理ステップを通して相互接続構造を示す。
本発明の様々な処理ステップを通して相互接続構造を示す。]
実施例

[0025] 回路短絡歩留まりを劣化させることなくエレクトロマイグレーション(EM)の信頼性を向上させた相互接続構造およびこれを形成する方法を提供する本発明について、これより、以下の考察および本出願に添付する図面を参照することによって、更に詳細に説明する。本出願の図面は例示の目的のためだけに提供されるものであり、このため図面は必ずしも縮尺どおりに描かれていないことに留意すべきである。]
[0026] 以下の説明において、本発明の完全な理解を得るために、特定の構造、コンポーネント、材料、寸法、処理ステップおよび技法等の多数の具体的な詳細事項について述べる。しかしながら、これらの具体的な詳細事項がなくても本発明を実施可能であることは、当業者によって認められよう。他の例では、本発明をあいまいにすることを回避するために、周知の構造または処理ステップについては詳細には説明していない。]
[0027] 層、領域、または基板としての要素が、別の要素の「上に」または「覆うように」あると称する場合、これは他の要素の直接上にある可能性があり、または介在する要素が存在する場合もあることは理解されよう。これに対して、要素が別の要素の「直接上に」または「直接覆うように」あると称する場合、介在する要素は存在しない。また、要素が別の要素に「接続されている」または「結合されている」と称する場合、これは他の要素に直接に接続もしくは結合されている可能性があり、または介在する要素が存在する場合もあることは理解されよう。これに対して、要素が別の要素に「直接に接続されている」もしくは「直接に結合されている」と称する場合、介在する要素は存在しない。]
[0028] 上述のように、本発明は、誘電率が約3.0以下の誘電材料を含む相互接続構造を提供する。この低k誘電材料は、上面が埋め込まれた少なくとも1つの導電材料を有する。また、誘電材料は表面層を有し、この表面層は、貴金属キャップを形成する前に疎水性にされる。貴金属キャップは、少なくとも1つの導電材料の上面上に直接配置される。誘電材料の上に疎水性の表面層が存在するために、貴金属キャップは、少なくとも1つの導電材料に隣接する誘電材料の疎水性表面層上に実質的に延出せず、この疎水性誘電表面上に貴金属キャップ堆積からの金属残留物は形成しない。]
[0029] また、本発明は、かかる相互接続構造を形成する方法も提供する。この方法において、貴金属キャップ層を形成する前に、埋め込まれた少なくとも1つの導電材料を含む誘電材料の露出表面を疎水性にする。本発明においては、誘電材料を水素含有プラズマで処理することによって、更に具体的には水素含有雰囲気において熱処理することによって、誘電材料内の疎水性表面層を得る。かかる処理を行うのは、誘電材料内に埋め込まれた少なくとも1つの導電材料の形成後であるが貴金属キャップの形成前である。]
[0030] ここで、様々な処理ステップを通して本発明の一例の相互接続構造を示す図(横断面図)である図7から図12を参照する。具体的には、図7は、本発明の相互接続構造を製造する際に本発明において使用可能である初期構造50を示す。初期構造50は、上面にパッド・スタック54が配置された誘電材料52を含む。] 図12 図7
[0031] 初期構造50は、典型的に、基板(本出願の図面には示していない)の上に配置されることに留意すべきである。基板は、半導体材料、絶縁材料、導電材料、またはそれらの多層を含むいずれかの組み合わせを含むことができる。基板が半導体材料から成る場合、Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP、および他のIII/VまたはII/VI化合物半導体等のいずれかの半導体を使用可能である。これらの列挙したタイプの半導体材料に加えて、本発明は、半導体基板が、例えばSi/SiGe、Si/SiC、シリコン・オン・インシュレータ(SOI)、またはゲルマニウム・オン・インシュレータ(SGOI)等の積層半導体である場合も想定する。]
[0032] 基板が絶縁材料である場合、絶縁材料は、有機絶縁体、無機絶縁体、または多層を含むそれらの組み合わせとすることができる。基板が導電材料である場合、基板は、例えばポリシリコン、元素金属、元素金属の合金、金属シリサイド、金属窒化物、または多層を含むそれらの組み合わせを含むことができる。基板が半導体材料を含む場合、例えば相補型金属酸化膜半導体(CMOS)デバイス等の1つ以上の半導体デバイスをその上に製造することができる。]
[0033] 基板が絶縁材料および導電材料の組み合わせを含む場合、基板は、多層相互接続構造の第1の相互接続レベルとすることができる。]
[0034] 初期構造50の誘電材料52は、無機誘電体または有機誘電体を含むいずれかのレベル間またはレベル内誘電体を含む。誘電材料52は、多孔性、非多孔性とすることができ、または、多孔性である領域または表面あるいはその両方と、非多孔性とすることができる他の領域または表面あるいはその両方と、を含む場合がある。誘電材料52として使用可能な適切な誘電体のいくつかの例は、限定ではないが、シルセスキオキサン、Si、C、O、およびHの原子を含むCをドーピングした酸化物(すなわちオルガノシリケート)、熱硬化性ポリアリレン・エーテル、またはそれらの多層を含む。「ポリアリレン」という言葉は、本出願において用いる場合、アリル部分(moieties)または不活性置換アリル部分を示し、結合、縮合環、または、例えば酸素、硫黄、スルホン、スルホキシド、カルボニル等の不活性連結基によって連結される。]
[0035] 誘電材料52は、典型的に約3.0以下の誘電率を有し、約2.8以下の誘電率がいっそう典型的である。本明細書で言及する全ての誘電率は、特に注記しない限り、真空に対するものである。これらの誘電体は一般に、4.0を超えるもっと高い誘電率を有する誘電材料に比べ、寄生クロストークが低い。誘電材料52の厚さは、用いる誘電材料および誘電材料52内の正確な誘電層数によって変動し得る。典型的に、通常の相互接続構造では、誘電材料52は約50から約1000nmまでの厚さを有する。]
[0036] 誘電材料52は、限定ではないが、化学気相堆積(CVD)、プラズマ増強化学気相堆積(PECVD)、蒸着、化学溶液堆積、およびスピン・オン・コーティングを含むいずれかの従来の堆積プロセスを用いて形成される。]
[0037] 誘電材料52を形成した後、誘電材料52の露出した上面上にパッド・スタック54を形成する。パッド・スタック54は、酸化物、窒化物、酸窒化物、またはそれらの多層(例えばパッド酸化物およびパッド窒化物を含むパッド・スタック)を含む。パッド・スタック54は典型的に、半導体酸化物、半導体窒化物、または半導体酸窒化物あるいはそれら全てを含む。好ましくは、パッド・スタック54は、シリコンの酸化物またはシリコンの窒化物あるいはその両方を含む。]
[0038] いくつかの実施形態においては、パッド・スタック54は、例えば、CVD、PECVD、蒸着、化学溶液堆積、物理気相堆積(PVD)、および原子層堆積を含むいずれかの従来の堆積プロセスを用いて形成することができる。他の実施形態においては、パッド・スタック54は、例えば熱酸化、熱窒化、または熱酸窒化あるいはその全てのプロセス等の熱プロセスによって形成される。更に別の実施形態では、パッド・スタック54は、堆積および熱プロセスの組み合わせを用いて形成される。]
[0039] パッド・スタック54の厚さは、パッド・スタック自体内の材料数およびこれを形成する際に用いた技法に応じて変動し得る。典型的には、パッド・スタック54は約10から約80nmまでの厚さを有する。]
[0040] 図7に示した初期構造50を形成した後、パッド・スタック54をパターン・マスクとして用いて、誘電材料52内に少なくとも1つの開口56を形成する。少なくとも1つの開口56を含む、結果として得られる構造を、例えば図8に示す。少なくとも1つの開口56は、バイア開口、ライン開口、バイアおよびラインの組み合わせ開口、またはそれらのいずれかの組み合わせを含むことができる。図面においては、限定でない例として3つのライン開口を示す。] 図7 図8
[0041] 少なくとも1つの開口56は、従来のリソグラフィおよびエッチングを用いて形成される。リソグラフィ・ステップは、例えばCVD、PECVD、およびスピン・オン・コーティング等の従来の堆積プロセスを用いて、パッド・スタック54の上にフォトレジスト(有機、無機、またはハイブリッド)を形成することを含む。フォトレジストを形成した後、フォトレジストを所望の放射パターンに露光する。次に、従来のレジスト現像プロセスを用いて、露光したフォトレジストを現像する。]
[0042] 現像ステップの後、エッチング・ステップを実行して、パターニングしたフォトレジストからのパターンを第1のパッド・スタック54内に、次いで誘電材料52内に転写する。パターニングしたフォトレジストは、典型的には、パターンをパッド・スタック54内に転写した後、例えば灰化等の従来のレジスト剥離プロセスを用いて、構造の表面から除去される。少なくとも1つの開口56を形成する際に用いるエッチング・ステップは、ドライ・エッチング・プロセス(反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチング、またはレーザ・アブレーションを含む)、ウェット化学エッチング・プロセス、またはそれらのいずれかの組み合わせを含む。典型的には、反応性イオン・エッチングを用いて少なくとも1つの開口56を形成する。]
[0043] 次に、図9に示すように、少なくとも1つの開口56の各々の内部に、拡散バリア58および導電材料60を形成する。拡散バリア58は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、IrTa、IrTaN、W、WN、または、バリアとして機能して導電材料が拡散するのを防ぐことができる他のいずれかの材料を含む。拡散バリア58の厚さは、用いる堆積プロセスおよび用いる材料に応じて変動し得る。典型的には、拡散バリア58は約4から約40nmまでの厚さを有し、約7から約20nmまでの厚さがいっそう典型的である。] 図9
[0044] 導電材料60と誘電材料52との間に配置される拡散バリア58は、例えば、ALD、PELAD、CVD、PECVD、PVD、スパッタリング、およびめっきを含むいずれかの従来の堆積プロセスによって形成される。]
[0045] 相互接続構造の導電領域を形成する際に用いられる導電材料60は、例えば、ポリシリコン、導電金属、少なくとも1つの導電金属を含む合金、導電金属シリサイド、またはそれらの組み合わせを含む。好ましくは、導電領域を形成する際に用いる導電材料60は、Cu、W、またはAl等の導電金属であり、本発明においてはCuまたはCu合金(AlCu等)が極めて好適である。]
[0046] 例えばCVD、PECVD、PVD、スパッタリング、めっき、化学溶液堆積、および無電解めっきを含むいずれかの従来の堆積プロセスを用いて、拡散バリア58によってライニングされた開口56の各々の内部に導電材料60を形成する。導電材料60を堆積した後、この構造に、例えば化学機械研磨(CMP)または研削あるいはその両方等の平坦化プロセスを行う。平坦化プロセスは、図9に示すもの等の平坦な構造を提供する。この構造において、誘電材料52、拡散バリア58、および導電材料60の上面は、相互に実質的に同一平面である。平坦化プロセスの間、構造から残りのパッド・スタック54が除去されることに留意すべきである。] 図9
[0047] 本発明のいくつかの実施形態においては、図9に示すように、CMPスラリの結果として、参照番号61として示す酸化物および有機粒子が、導電材料の表面上に形成される可能性がある。酸化物および有機粒子61の存在は、相互接続構造において望ましくない。なぜなら、これは、導電材料60と図11のキャッピング層62との間の接着を劣化させる恐れがあるからである。良好なエレクトロマイグレーション抵抗のためには、導電材料60とキャッピング層62との間の良好な接着が必要である。更に重要なことは、酸化物および有機粒子61の存在が相互接続構造において望ましくないのは、これが、導電材料60の表面と誘電材料52の表面との間の貴金属キャップ堆積の選択性を劣化させる恐れがあるからである。選択性を向上させるためには、クリーンな導電材料60の表面が必要である。] 図11 図9
[0048] また、本発明のこの時点で誘電材料52の表面(参照場号52Aで示す)が親水性であることに言及するのは重要である。]
[0049] 次に、図10に示すように、導電材料60または拡散バリア58を含めずに、誘電材料52の露出部分内に疎水性表面層52Bを生成するのと同時に、導電材料60の表面から酸化物および有機粒子61を除去することができる。本発明において、誘電材料52の上に存在する疎水性表面層52Bは、誘電材料52を水素含有プラズマで処理することによって、更に好ましくは水素含有雰囲気において熱処理を行うことによって形成される。これらの処理の各々に関する詳細は、以下に述べる。疎水性表面層52Bは、誘電材料52の上面から誘電材料の内部まで測定した場合に約2nm以下の深さを有する。典型的には、疎水性表面層52Bは、誘電材料の内部まで約1から約0.2nmまでの深さを有する。] 図10
[0050] 本発明において、水素含有プラズマを用いて誘電層の疎水性表面層を形成する場合、水素を含むプラズマを発生させる際に、いかなる水素含有源も用いることができる。かかる水素含有源の例は、限定ではないが、NH3およびH2を含む。水素含有源は、単独で、または、例えばHe、Ar、Ne、およびそれらの混合物等の不活性ガスと混合して、用いることができる。このため、水素含有プラズマは、100%水素を含むプラズマを含むことができ、または、プラズマにおける水素含有量は、約2%から100%までの水素の範囲とすることができる。プラズマを発生させる際に水素含有源および不活性ガスの混合物を用いる場合、水素は約2%から約90%までの量で含有されるのが好ましく、いっそう典型的には水素含有量は約20%から約70%までである。水素含有プラズマは、プラズマを発生させることができるいずれかの従来の方法(または装置あるいはその両方)を用いて発生させる。]
[0051] プラズマ処理は、約100から約450℃までの温度で実行され、いっそう好適なのは約150から約300℃までの温度である。プラズマ処理の時間は、処理される誘電材料に応じて変動し得る。典型的には、プラズマ処理は約10秒から約5分までの時間期間だけ行われ、いっそう好適なのは約30秒から約2分までのプラズマ処理時間である。]
[0052] 誘電材料内の疎水性表面層を発生させる際に熱処理を用いる場合、いかなる水素含有源も用いることができる。かかる水素含有源の例は、限定ではないが、NH3およびH2を含む。水素含有源は、単独で、または、例えばHe、Ar、Ne、およびそれらの混合物等の不活性ガスと混合して、用いることができる。このため、水素含有雰囲気は、100%水素を含むことができ、または、水素は約2%から100%までの水素の範囲とすることができる。水素含有源および不活性ガスの混合物を用いる場合、水素は約2%から約90%までの量で含有されるのが好ましく、いっそう典型的には水素含有量は約20%から約70%までである。]
[0053] 水素含有処理における熱処理は、約100から約450℃までの温度で実行され、いっそう好適なのは約150から約300℃までの温度である。熱処理の時間は、処理される誘電材料に応じて変動し得る。典型的には、水素含有雰囲気における熱処理は約10秒から約5分までの時間期間だけ行われ、いっそう好適なのは約30秒から約2分までの熱処理時間である。]
[0054] 次に、図11に示すように、導電材料60の上に選択的に貴金属キャップ62を形成する。貴金属キャップ62のいくつかは、拡散バリア58の表面上まで延出する場合があるが、前記導電材料60に横方向に隣接した誘電材料52の疎水性表面層52Bまで延出する貴金属キャップ62は、ほとんどないか、または全くない。誘電材料52の表面上には、貴金属キャップ62(またはその残留物)は堆積(または形成)されない。] 図11
[0055] 少なくとも1つの導電領域(すなわち導電材料60)の上に配置されたキャップ62を参照する場合の「貴金属」という言葉は、腐食または酸化に強いいずれかの金属を含む。本発明において使用可能である好適な貴金属は、Ru、Ir、Rh、Pt、およびそれらの合金から成る群から選択される。更に具体的には、貴金属キャップとして用いられる貴金属は、RuまたはRu合金を含む。いくつかの実施形態では、貴金属キャップ62は、多層貴金属または貴金属合金の積層物から成る。]
[0056] 貴金属キャップ62の厚さは、キャップ内に存在する貴金属の種類、用いる堆積技法および条件、ならびにキャップ内の貴金属数に応じて変動し得る。典型的には、貴金属キャップ62は約1から約100Åまでの厚さを有し、いっそう好適なのは約5から約50Åまでの厚さである。]
[0057] 貴金属キャップ62は、例えばCVD、PECVD、低圧CVD、およびALDを含む低温堆積プロセスを用いて形成される。「低温」は約300℃以下の堆積温度を意味し、いっそう好適なのは150℃と250℃との間の堆積温度である。]
[0058] 導電材料60上に選択的に貴金属キャップ62を形成した後、図11に示す全体的な構造中に誘電キャッピング層64を形成する。誘電キャッピング層64を含む、結果として得られる構造を、例えば図12に示す。誘電キャッピング層64は、例えば、SiC、Si4NH3、SiO2、炭素をドーピングした酸化物、窒素および水素をドーピングした炭化ケイ素SiC(N,H)、またはそれらの多層等のいずれかの適切な誘電キャッピング材料を含む。] 図11 図12
[0059] 誘電キャッピング層64の厚さは、これを形成するために用いる技法および層の材料構成に応じて変動し得る。典型的には、誘電キャッピング層64は約15から約100nmまでの厚さを有し、いっそう典型的なのは約25から約45nmまでの厚さである。]
[0060] 誘電キャッピング層64は、例えばCVD、PECVD、蒸着、スピン・オン・コーティング、化学溶液堆積、およびPVDを含むいずれかの従来の堆積プロセスを用いて形成される。]
[0061] 本発明について、その好適な実施形態に関連付けて具体的に図示し説明したが、本発明の精神および範囲から逸脱することなく、形態および詳細において前述およびその他の変更を行うことが可能であることは、当業者によって理解されよう。従って、本発明は、説明し図示した正確な形態および詳細に限定されるのではなく、本発明の特許請求の範囲の範囲内に収まることが意図される。]
[0062] 本発明は、エレクトロマイグレーションの信頼性および半導体業界に対する技術的な拡張性が向上した回路相互接続構造の設計および製造において産業上の適用可能性を見出す。これは、特に、コンピュータおよび通信の分野における多種多様な電子および電気装置において用いられる集積回路チップに適用可能である。]
权利要求:

請求項1
相互接続構造であって、誘電率が3.0以下の誘電材料(52)であって、疎水性表面層(52B)と、前記誘電材料内に埋め込まれた上面を有する少なくとも1つの導電材料(60)と、を有する、誘電材料(52)と、前記少なくとも1つの導電材料の前記上面上に直接に配置された貴金属キャップ(62)であって、前記少なくとも1つの導電材料に隣接した前記誘電材料の前記疎水性表面層上に実質的に延出せず、前記誘電材料の前記疎水性表面層上に貴金属残留物が存在しない、貴金属キャップ(62)と、を含む、相互接続構造。
請求項2
前記少なくとも1つの導電材料の上面が、前記誘電材料の前記疎水性表面層と実質的に同一平面である、請求項1に記載の相互接続構造。
請求項3
前記貴金属キャップが、Ru、Ir、Rh、Pt、およびそれらの合金から成る群から選択される、請求項1に記載の相互接続構造。
請求項4
前記貴金属キャップがRuおよびRu合金を含む、請求項3に記載の相互接続構造。
請求項5
前記誘電材料(52)および前記貴金属キャップ(62)の上に配置された誘電キャッピング層(64)を更に含む、請求項1に記載の相互接続構造。
請求項6
相互接続構造であって、誘電率が3.0以下の誘電材料(52)であって、疎水性表面層(52B)と、前記誘電材料内に埋め込まれた上面を有する少なくとも1つのCu含有導電材料と、を有する、誘電材料(52)と、前記少なくとも1つのCu含有導電材料の前記上面上に直接に配置されたRu含有貴金属キャップ(62)であって、前記少なくとも1つのCu含有導電材料に隣接した前記誘電材料の前記疎水性表面層上に実質的に延出せず、前記誘電材料の前記疎水性表面層上にRu含有貴金属残留物が存在しない、Ru含有貴金属キャップ(62)と、を含む、相互接続構造。
請求項7
前記少なくとも1つのCu含有導電材料の上面が、前記誘電材料の前記疎水性表面層と実質的に同一平面である、請求項6に記載の相互接続構造。
請求項8
前記誘電材料および前記貴金属キャップの上に位置する誘電キャッピング層を更に含む、請求項6に記載の相互接続構造。
請求項9
相互接続構造を形成する方法であって、誘電率が3.0以下の誘電材料(52)であって、前記誘電材料内に埋め込まれた上面を有する少なくとも1つの導電材料(60)を有する誘電材料(52)を用意するステップと、前記誘電材料の露出表面上に疎水性表面層(52B)を形成するステップと、前記少なくとも1つの導電材料の前記上面上に直接に貴金属キャップ(62)を形成するステップであって、前記貴金属キャップが前記少なくとも1つの導電材料に隣接した前記誘電材料の前記疎水性表面層(52B)上に実質的に延出せず、前記貴金属キャップ形成の結果として、前記誘電材料の前記疎水性表面層上に貴金属残留物が生じない、ステップと、を含む、方法。
請求項10
前記貴金属キャップ形成ステップが、300℃以下の温度で実行される化学堆積プロセスを含む、請求項9に記載の方法。
請求項11
前記化学堆積プロセスが化学気相堆積プロセスまたは原子層堆積を含む、請求項10に記載の方法。
請求項12
前記少なくとも1つの導電材料の上面が、前記誘電材料の前記疎水性表面層と実質的に同一平面である、請求項9に記載の方法。
請求項13
前記誘電材料および前記貴金属キャップの上に配置された誘電キャッピング層を形成するステップを更に含む、請求項9に記載の方法。
請求項14
前記貴金属キャップ形成ステップが、Ru、Ir、Rh、Pt、およびそれらの合金から1つを選択することを含む、請求項9に記載の方法。
請求項15
前記誘電材料の露出表面上の疎水性表面層形成ステップが水素含有雰囲気における熱処理を含む、請求項9に記載の方法。
請求項16
前記熱処理が100℃から450℃までの温度で実行される、請求項15に記載の方法。
請求項17
前記水素含有雰囲気が2%から100%までの水素を含む、請求項15に記載の方法。
請求項18
前記誘電材料の露出表面上の疎水性表面層形成ステップが、水素含有プラズマにおけるプラズマ処理を含む、請求項9に記載の方法。
請求項19
前記水素含有プラズマが2%から100%までの水素を含む、請求項18に記載の方法。
請求項20
相互接続構造を形成する方法であって、誘電率が3.0以下の誘電材料(52)であって、前記誘電材料内に埋め込まれた上面を有する少なくとも1つの導電材料(60)を有する誘電材料(52)を用意するステップと、水素含有雰囲気における熱処理によって、前記誘電材料の露出表面上に疎水性表面層(52B)を形成するステップと、前記少なくとも1つの導電材料の前記上面上に直接に貴金属キャップ(62)を形成するステップであって、前記貴金属キャップが前記少なくとも1つの導電材料に隣接した前記誘電材料の前記疎水性表面層上に実質的に延出せず、前記貴金属キャップ形成の結果として、前記誘電材料の前記疎水性表面層上に貴金属残留物が生じない、ステップと、を含む、方法。
請求項21
前記貴金属キャップ形成ステップが、300℃以下の温度で実行される化学堆積プロセスを含む、請求項20に記載の方法。
請求項22
前記化学堆積プロセスが化学気相堆積プロセスまたは原子層堆積を含む、請求項20に記載の方法。
請求項23
前記少なくとも1つの導電材料の上面が、前記誘電材料の前記疎水性表面層と実質的に同一平面である、請求項20に記載の方法。
請求項24
前記誘電材料および前記貴金属キャップの上に配置された誘電キャッピング層を形成するステップを更に含む、請求項20に記載の方法。
請求項25
前記貴金属キャップ形成ステップが、Ru、Ir、Rh、Pt、およびそれらの合金から1つを選択することを含む、請求項20に記載の方法。
类似技术:
公开号 | 公开日 | 专利标题
US10297569B2|2019-05-21|Method of forming a three-dimensional bonded semiconductor structure having nitridized oxide regions
US9613900B2|2017-04-04|Nanoscale interconnect structure
KR100702549B1|2007-04-04|반도체 인터커넥트 구조 상의 금속층 증착 방법
TWI402887B|2013-07-21|用以整合具有改良可靠度之超低k介電質之結構與方法
US8901744B2|2014-12-02|Hybrid copper interconnect structure and method of fabricating same
US6423629B1|2002-07-23|Multilevel copper interconnects with low-k dielectrics and air gaps
US9287345B2|2016-03-15|Semiconductor structure with thin film resistor and terminal bond pad
CN101390204B|2011-03-30|用于金属集成的新颖结构和方法
JP5255292B2|2013-08-07|2層金属キャップを有する相互接続構造体及びその製造方法
US7514354B2|2009-04-07|Methods for forming damascene wiring structures having line and plug conductors formed from different materials
CN101438404B|2011-05-04|制造用于互连应用的可靠过孔接触
US8330275B2|2012-12-11|Interconnect structure for semiconductor devices
US7282802B2|2007-10-16|Modified via bottom structure for reliability enhancement
US8030777B1|2011-10-04|Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US8754526B2|2014-06-17|Hybrid interconnect structure for performance improvement and reliability enhancement
TWI497673B|2015-08-21|用於窄互相連接開口之大晶粒尺寸傳導結構
US7670943B2|2010-03-02|Enhanced mechanical strength via contacts
US8044519B2|2011-10-25|Semiconductor device and method of fabricating the same
KR102051517B1|2019-12-03|구리 배선 구조체들을 위한 그래핀 캡
US20050170642A1|2005-08-04|Methods for improving metal-to-metal contact in a via, devices made according to the methods, and systems including the same
US10553483B2|2020-02-04|Semiconductor device with reduced via resistance
US7795740B2|2010-09-14|Adhesion enhancement for metal/dielectric interface
US8716127B2|2014-05-06|Metal alloy cap integration
CN102341903B|2014-10-29|用于互连应用的冗余金属阻挡结构
US8349731B2|2013-01-08|Methods for forming copper diffusion barriers for semiconductor interconnect structures
同族专利:
公开号 | 公开日
US7998864B2|2011-08-16|
US20090189287A1|2009-07-30|
US20110285021A1|2011-11-24|
EP2243155A1|2010-10-27|
JP5441926B2|2014-03-12|
WO2009097214A1|2009-08-06|
TW200952118A|2009-12-16|
US8497580B2|2013-07-30|
EP2243155A4|2012-07-25|
KR20100098673A|2010-09-08|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
JP2000106357A|1998-09-29|2000-04-11|Matsushita Electric Ind Co Ltd|半導体装置の製造方法及び絶縁膜の形成方法|
JP2002353308A|2001-05-28|2002-12-06|Toshiba Corp|半導体装置及びその製造方法|
JP2004335998A|2003-05-09|2004-11-25|Hynix Semiconductor Inc|半導体素子の金属配線形成方法|
JP2005056945A|2003-08-08|2005-03-03|Hitachi Ltd|半導体装置の製造方法|
JP2006128680A|2004-10-26|2006-05-18|Asm Japan Kk|集積回路内での金属層の選択的形成|
WO2006101646A1|2005-03-16|2006-09-28|Tokyo Electron Limited|Method for forming a ruthenium metal layer on a patterned substrate|
JP2008538126A|2005-03-16|2008-10-09|ト−キョ−・エレクトロン・アメリカ・インコーポレーテッド|パターン化された基板上にルテニウム金属層を形成する方法|
WO2007066277A2|2005-12-07|2007-06-14|Nxp B.V.|A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device|
JP2009518844A|2005-12-07|2009-05-07|エヌエックスピービーヴィ|半導体ディバイスのための構造における第2材料内に埋設する第1材料の表面に層を形成する方法|JP2013055317A|2011-08-05|2013-03-21|Tokyo Electron Ltd|半導体装置の製造方法|US5300813A|1992-02-26|1994-04-05|International Business Machines Corporation|Refractory metal capped low resistivity metal conductor lines and vias|
US5695810A|1996-11-20|1997-12-09|Cornell Research Foundation, Inc.|Use of cobalt tungsten phosphide as a barrier material for copper metallization|
US6342733B1|1999-07-27|2002-01-29|International Business Machines Corporation|Reduced electromigration and stressed induced migration of Cu wires by surface coating|
US6706625B1|2002-12-06|2004-03-16|Chartered Semiconductor Manufacturing Ltd.|Copper recess formation using chemical process for fabricating barrier cap for lines and vias|
US6975032B2|2002-12-16|2005-12-13|International Business Machines Corporation|Copper recess process with application to selective capping and electroless plating|
US6881437B2|2003-06-16|2005-04-19|Blue29 Llc|Methods and system for processing a microelectronic topography|
US20050085031A1|2003-10-15|2005-04-21|Applied Materials, Inc.|Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers|
US20060113675A1|2004-12-01|2006-06-01|Chung-Liang Chang|Barrier material and process for Cu interconnect|
US7105445B2|2005-01-14|2006-09-12|International Business Machines Corporation|Interconnect structures with encasing cap and methods of making thereof|
US7253105B2|2005-02-22|2007-08-07|International Business Machines Corporation|Reliable BEOL integration process with direct CMP of porous SiCOH dielectric|
US7084060B1|2005-05-04|2006-08-01|International Business Machines Corporation|Forming capping layer over metal wire structure using selective atomic layer deposition|
US7935631B2|2005-07-04|2011-05-03|Freescale Semiconductor, Inc.|Method of forming a continuous layer of a first metal selectively on a second metal and an integrated circuit formed from the method|
US20070077750A1|2005-09-06|2007-04-05|Paul Ma|Atomic layer deposition processes for ruthenium materials|
US8138604B2|2007-06-21|2012-03-20|International Business Machines Corporation|Metal cap with ultra-low k dielectric material for circuit interconnect applications|US7830010B2|2008-04-03|2010-11-09|International Business Machines Corporation|Surface treatment for selective metal cap applications|
US8242019B2|2009-03-31|2012-08-14|Tokyo Electron Limited|Selective deposition of metal-containing cap layers for semiconductor devices|
US8178439B2|2010-03-30|2012-05-15|Tokyo Electron Limited|Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices|
US8809183B2|2010-09-21|2014-08-19|International Business Machines Corporation|Interconnect structure with a planar interface between a selective conductive cap and a dielectric cap layer|
FR2966283B1|2010-10-14|2012-11-30|Soi Tec Silicon On Insulator Technologies Sa|Procede pour realiser une structure de collage|
US8912658B2|2010-10-29|2014-12-16|International Business Machines Corporation|Interconnect structure with enhanced reliability|
US10283321B2|2011-01-18|2019-05-07|Applied Materials, Inc.|Semiconductor processing system and methods using capacitively coupled plasma|
US8232200B1|2011-03-18|2012-07-31|International Business Machines Corporation|Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby|
KR102129399B1|2011-11-08|2020-07-02|노스이스턴 유니버시티|나노엘리먼트들의 직접 어셈블리 및 전사를 위한 다마신 템플레이트|
US8796853B2|2012-02-24|2014-08-05|International Business Machines Corporation|Metallic capped interconnect structure with high electromigration resistance and low resistivity|
US9132436B2|2012-09-21|2015-09-15|Applied Materials, Inc.|Chemical control features in wafer process equipment|
US9076847B2|2013-01-18|2015-07-07|International Business Machines Corporation|Selective local metal cap layer formation for improved electromigration behavior|
US9123726B2|2013-01-18|2015-09-01|International Business Machines Corporation|Selective local metal cap layer formation for improved electromigration behavior|
US10256079B2|2013-02-08|2019-04-09|Applied Materials, Inc.|Semiconductor processing systems having multiple plasma configurations|
US9362130B2|2013-03-01|2016-06-07|Applied Materials, Inc.|Enhanced etching processes using remote plasma sources|
US9252049B2|2013-03-06|2016-02-02|Taiwan Semiconductor Manufacturing Company, Ltd.|Method for forming interconnect structure that avoids via recess|
WO2014189671A1|2013-05-24|2014-11-27|Applied Materials, Inc.|Cobalt selectivity improvement in selective cobalt process sequence|
US9142456B2|2013-07-30|2015-09-22|Lam Research Corporation|Method for capping copper interconnect lines|
US9059257B2|2013-09-30|2015-06-16|International Business Machines Corporation|Self-aligned vias formed using sacrificial metal caps|
US20150206798A1|2014-01-17|2015-07-23|Taiwan Semiconductor Manufacturing Company, Ltd.|Interconnect Structure And Method of Forming|
US9309598B2|2014-05-28|2016-04-12|Applied Materials, Inc.|Oxide and metal removal|
US20150357236A1|2014-06-08|2015-12-10|International Business Machines Corporation|Ultrathin Multilayer Metal Alloy Liner for Nano Cu Interconnects|
US9355922B2|2014-10-14|2016-05-31|Applied Materials, Inc.|Systems and methods for internal surface conditioning in plasma processing equipment|
US9966240B2|2014-10-14|2018-05-08|Applied Materials, Inc.|Systems and methods for internal surface conditioning assessment in plasma processing equipment|
US10224210B2|2014-12-09|2019-03-05|Applied Materials, Inc.|Plasma processing system with direct outlet toroidal plasma source|
US9728437B2|2015-02-03|2017-08-08|Applied Materials, Inc.|High temperature chuck for plasma processing systems|
US9691645B2|2015-08-06|2017-06-27|Applied Materials, Inc.|Bolted wafer chuck thermal management systems and methods for wafer processing systems|
US9741593B2|2015-08-06|2017-08-22|Applied Materials, Inc.|Thermal management systems and methods for wafer processing systems|
US9349605B1|2015-08-07|2016-05-24|Applied Materials, Inc.|Oxide etch selectivity systems and methods|
US10504700B2|2015-08-27|2019-12-10|Applied Materials, Inc.|Plasma etching systems and methods with secondary plasma injection|
JP2018532271A|2015-10-15|2018-11-01|東京エレクトロン株式会社|インターコネクトのための選択的なボトムアップ式金属フィーチャ充填|
US10504754B2|2016-05-19|2019-12-10|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection|
US10522371B2|2016-05-19|2019-12-31|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection|
US9911698B1|2016-08-25|2018-03-06|International Business Machines Corporation|Metal alloy capping layers for metallic interconnect structures|
US10062575B2|2016-09-09|2018-08-28|Applied Materials, Inc.|Poly directional etch by oxidation|
US10629473B2|2016-09-09|2020-04-21|Applied Materials, Inc.|Footing removal for nitride spacer|
US9934942B1|2016-10-04|2018-04-03|Applied Materials, Inc.|Chamber with flow-through source|
US10546729B2|2016-10-04|2020-01-28|Applied Materials, Inc.|Dual-channel showerhead with improved profile|
US10062579B2|2016-10-07|2018-08-28|Applied Materials, Inc.|Selective SiN lateral recess|
US9768034B1|2016-11-11|2017-09-19|Applied Materials, Inc.|Removal methods for high aspect ratio structures|
US10163696B2|2016-11-11|2018-12-25|Applied Materials, Inc.|Selective cobalt removal for bottom up gapfill|
US10242908B2|2016-11-14|2019-03-26|Applied Materials, Inc.|Airgap formation with damage-free copper|
US10026621B2|2016-11-14|2018-07-17|Applied Materials, Inc.|SiN spacer profile patterning|
US10566206B2|2016-12-27|2020-02-18|Applied Materials, Inc.|Systems and methods for anisotropic material breakthrough|
US10431429B2|2017-02-03|2019-10-01|Applied Materials, Inc.|Systems and methods for radial and azimuthal control of plasma uniformity|
US10403507B2|2017-02-03|2019-09-03|Applied Materials, Inc.|Shaped etch profile with oxidation|
US10319739B2|2017-02-08|2019-06-11|Applied Materials, Inc.|Accommodating imperfectly aligned memory holes|
US10319649B2|2017-04-11|2019-06-11|Applied Materials, Inc.|Optical emission spectroscopyfor remote plasma monitoring|
US10497579B2|2017-05-31|2019-12-03|Applied Materials, Inc.|Water-free etching methods|
US10049891B1|2017-05-31|2018-08-14|Applied Materials, Inc.|Selective in situ cobalt residue removal|
US10541246B2|2017-06-26|2020-01-21|Applied Materials, Inc.|3D flash memory cells which discourage cross-cell electrical tunneling|
US10727080B2|2017-07-07|2020-07-28|Applied Materials, Inc.|Tantalum-containing material removal|
US10541184B2|2017-07-11|2020-01-21|Applied Materials, Inc.|Optical emission spectroscopic techniques for monitoring etching|
US10354889B2|2017-07-17|2019-07-16|Applied Materials, Inc.|Non-halogen etching of silicon-containing materials|
US10043674B1|2017-08-04|2018-08-07|Applied Materials, Inc.|Germanium etching systems and methods|
US10170336B1|2017-08-04|2019-01-01|Applied Materials, Inc.|Methods for anisotropic control of selective silicon removal|
US10297458B2|2017-08-07|2019-05-21|Applied Materials, Inc.|Process window widening using coated parts in plasma etch processes|
US10283324B1|2017-10-24|2019-05-07|Applied Materials, Inc.|Oxygen treatment for nitride etching|
US10128086B1|2017-10-24|2018-11-13|Applied Materials, Inc.|Silicon pretreatment for nitride removal|
US10424487B2|2017-10-24|2019-09-24|Applied Materials, Inc.|Atomic layer etching processes|
US10256112B1|2017-12-08|2019-04-09|Applied Materials, Inc.|Selective tungsten removal|
US10679870B2|2018-02-15|2020-06-09|Applied Materials, Inc.|Semiconductor processing chamber multistage mixing apparatus|
TW201941300A|2018-02-28|2019-10-16|美商應用材料股份有限公司|System and method for forming air gap|
US10593560B2|2018-03-01|2020-03-17|Applied Materials, Inc.|Magnetic induction plasma source for semiconductor processes and equipment|
US10319600B1|2018-03-12|2019-06-11|Applied Materials, Inc.|Thermal silicon etch|
US10497573B2|2018-03-13|2019-12-03|Applied Materials, Inc.|Selective atomic layer etching of semiconductor materials|
US10573527B2|2018-04-06|2020-02-25|Applied Materials, Inc.|Gas-phase selective etching systems and methods|
US10490406B2|2018-04-10|2019-11-26|Appled Materials, Inc.|Systems and methods for material breakthrough|
US10699879B2|2018-04-17|2020-06-30|Applied Materials, Inc.|Two piece electrode assembly with gap for plasma control|
US10755941B2|2018-07-06|2020-08-25|Applied Materials, Inc.|Self-limiting selective etching systems and methods|
US10672642B2|2018-07-24|2020-06-02|Applied Materials, Inc.|Systems and methods for pedestal configuration|
法律状态:
2011-11-11| A621| Written request for application examination|Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20111110 |
2013-07-22| A977| Report on retrieval|Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20130722 |
2013-07-31| A131| Notification of reasons for refusal|Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130730 |
2013-08-15| RD12| Notification of acceptance of power of sub attorney|Free format text: JAPANESE INTERMEDIATE CODE: A7432 Effective date: 20130814 |
2013-08-31| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20130814 |
2013-10-22| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20131021 |
2013-11-19| TRDD| Decision of grant or rejection written|
2013-11-27| RD14| Notification of resignation of power of sub attorney|Free format text: JAPANESE INTERMEDIATE CODE: A7434 Effective date: 20131126 |
2013-11-27| A01| Written decision to grant a patent or to grant a registration (utility model)|Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20131126 |
2013-12-26| A61| First payment of annual fees (during grant procedure)|Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20131217 |
2013-12-27| R150| Certificate of patent or registration of utility model|Ref document number: 5441926 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
2016-12-06| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
2017-12-05| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
2018-12-27| LAPS| Cancellation because of no payment of annual fees|
优先权:
申请号 | 申请日 | 专利标题
[返回顶部]